搜索资源列表
c4
- 1一个最优美的图案。 2有n个整数,使其前面各数顺序向后移m个位置,最后m个数变成最前面的m个数-1 One of the most beautiful designs. 2 months integer n to the preceding number of the order of backward-shift position m, and finally the number m of m into the top of the number of
matlabPBG
- matlab透射率随入射角变化的程序 na=2.10 nb=1.46 n1=1 n2=1 d=1064 for c5=0:0.5:90 c3=c5*pi/180 c1=asin(n1*sin(c3)/na) c2=asin(na*sin(c1)/nb) c4=asin(nb*sin(c2)/n2) d1=1064 a=d1/(4*na) b=d1/(4*nb) Ba=2*pi*na*a*cos(c1)/d Bb=2*pi*nb*b*cos
C4.5
- C4.5 算法是机器学习算法中的一种分类决策树算法,其核心算法是ID3算法. C4.5算法继承了ID3算法的优点,并在以下几方面对ID3算法进行了改进: 1) 用信息增益率来选择属性,克服了用信息增益选择属性时偏向选择取值多的属性的不足; 2) 在树构造过程中进行剪枝; 3) 能够完成对连续属性的离散化处理; 4) 能够对不完整数据进行处理。 C4.5算法有如下优点:产生的分类规则易于理解,准确率较高。其缺点是:在构造树的过程中,需要对数据集进行多次的顺序扫描
cPPcourse_design
- 1、【拷贝构造函数】定义一个复数类CComplex class CComplex { private: float real //实部 float imag //虚部 public: CComplex(float x =0.0 , float y = 0.0):real(x),imag(y) {} CComplex(CComplex& c) CComplex operator+(CComplex& c)
C4-3
- 对于一元二次方程ax^2 + bx + c 0,解可以分为很多情况。 若该方程有两个不相等实根,首先输出1,换行,然后从小到大输出两个实根,换行; 若该方程有两个相等实根,首先输出2,换行,然后输出这个这个实根,换行; 若该方程有一对共轭复根,输出3,换行; 若该方程有无解,输出4,换行; 若该方程有无穷个解,输出5,换行; 若该方程只有一个根,首先输出6,换行,然后输出这个跟,换行;-For a quadratic equation
Java实现音乐播放器
- JAVA实现音乐播放器,实现了播放器的基本功能如上一首,下一首,暂停,播放。(JAVA to achieve music player, to achieve the basic functions of the player, as above one, the next one, pause, play.)
C4-2
- 对于输入的一个正整数,输出其反转形式,并且使用类来进行操作(For a positive integer of the input, output its reversal form and use the class to do the operation)
si四位加法器
- 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl of full_adder is begin s&
Domain decomposition for hyperbolic equations
- 双曲方程的域分解,该模型显示了如何使用域分解技术求解迭代算法。 系数形式PDE u1(c4)求解u1 系数形式PDE u2(c)求解u2 系数表PDE v1(c2)将u1存储到v1 系数表PDE v2(c3)将u2存储到v2 然后计算并迭代如下: 1.计算初始化U 2.在“ LOOP”>“ Step1”>“变量值未解决”中:选择“解决方案”:“ Init U”,然后“计算” 3.在“ LOOP”>“ Step1”>“变量值未解决”中:选择“解决方案:LOOP”,然后根据需