文件名称:DMA
-
所属分类:
- 标签属性:
- 上传时间:2013-01-04
-
文件大小:2.27kb
-
已下载:0次
-
提 供 者:
-
相关连接:无下载说明:别用迅雷下载,失败请重下,重下不扣分!
介绍说明--下载内容来自于网络,使用问题请自行百度
DMA controller VHDL code entity dma is
generic
(
ADDR_WIDTH : integer := 16 -- default value
DATA_WIDTH : integer := 16 -- default value
)
port
(
RESET_L : in std_logic
CLK : in std_logic
DRQ_L : in std_logic
DMAACK_L : in std_logic
RDY_L : in std_logic
DACK_L : out std_logic
DMARQ_L : out std_logic
WR_L : inout std_logic
ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0)
DATA : inout std_logic_vector(DATA_WIDTH - 1 downto 0)
)
end dma -DMA controller VHDL code entity dma is
generic
(
ADDR_WIDTH : integer := 16 -- default value
DATA_WIDTH : integer := 16 -- default value
)
port
(
RESET_L : in std_logic
CLK : in std_logic
DRQ_L : in std_logic
DMAACK_L : in std_logic
RDY_L : in std_logic
DACK_L : out std_logic
DMARQ_L : out std_logic
WR_L : inout std_logic
ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0)
DATA : inout std_logic_vector(DATA_WIDTH - 1 downto 0)
)
end dma
generic
(
ADDR_WIDTH : integer := 16 -- default value
DATA_WIDTH : integer := 16 -- default value
)
port
(
RESET_L : in std_logic
CLK : in std_logic
DRQ_L : in std_logic
DMAACK_L : in std_logic
RDY_L : in std_logic
DACK_L : out std_logic
DMARQ_L : out std_logic
WR_L : inout std_logic
ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0)
DATA : inout std_logic_vector(DATA_WIDTH - 1 downto 0)
)
end dma -DMA controller VHDL code entity dma is
generic
(
ADDR_WIDTH : integer := 16 -- default value
DATA_WIDTH : integer := 16 -- default value
)
port
(
RESET_L : in std_logic
CLK : in std_logic
DRQ_L : in std_logic
DMAACK_L : in std_logic
RDY_L : in std_logic
DACK_L : out std_logic
DMARQ_L : out std_logic
WR_L : inout std_logic
ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0)
DATA : inout std_logic_vector(DATA_WIDTH - 1 downto 0)
)
end dma
(系统自动生成,下载前可以参看下载内容)
下载文件列表
DMA.vhd
本网站为编程资源及源代码搜集、介绍的搜索网站,版权归原作者所有! 粤ICP备11031372号
1999-2046 搜珍网 All Rights Reserved.