CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - act

搜索资源列表

  1. datelist

    0下载:
  2. 一個個人化的行事曆,可預先配置個人日誌與行事紀錄, 方便好用-of a personal calendar, pre-configured personal log records and to act to facilitate ease of use
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:35.89kb
    • 提供者:程坤
  1. Unix_Solution_for_SOX

    0下载:
  2. 一个对应解决SOX安全法案问题的系统介绍-a corresponding address SOX Security Act introduced the system
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:448.81kb
    • 提供者:littlepond
  1. PLD_UNIX_Auditing

    0下载:
  2. 另一个对应解决SOX安全法案问题的系统介绍-counterparts SOX Security Act introduced the system
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:375.22kb
    • 提供者:littlepond
  1. 100WaystoMotivateYourself

    0下载:
  2. In this first-ever paperback edition of his long-time best-seller, motivational speaker Steve Chandler helps you create an action plan for living your vision in business and in life. It features 100 proven methods to positively change the way you thi
  3. 所属分类:成功激励

    • 发布日期:2008-10-13
    • 文件大小:103.82kb
    • 提供者:wu
  1. MSComm

    1下载:
  2. MSComm 控件通过串行端口传输和接收数据,为应用程序提供串行通讯功能。MSComm控件在串口编程时非常方便,程序员不必去花时间去了解较为复杂的API函数,而且在VC、VB、Delphi等语言中均可使用。 Microsoft Communications Control(以下简称MSComm)是Microsoft公司提供的简化Windows下串行通信编程的ActiveX控件,它为应用程序提供了通过串行接口收发数据的简便方法。具体的来说,它提供了两种处理通信问题的方法:一是事件驱动(Event-
  3. 所属分类:软件工程

    • 发布日期:2014-01-15
    • 文件大小:8.74kb
    • 提供者:steven
  1. DesignJavaAppswithUML

    1下载:
  2. 在图书馆管理系统中,要为每个借阅者建立一个账户,并给借阅者发放借阅卡(借阅卡可以提供借阅卡号、借阅者姓名),账户中存储借阅的个人信息、借阅信息以及预定信息。持有借阅卡的借阅者可以借阅书刊、返还书刊、查阅书刊信息、预定书刊并取消预定,但这些操作都是通过图书馆管理员进行的,也及借阅者不直接与系统交互,而是图书馆管理员充当借阅者的代理与系统交互。在借阅书刊时,需要输入所借阅的书刊名,书刊的ISBN/ISSN号,然后输入借阅者的图书卡号和借阅者名,完成后提交表格,系统验证借阅者是否有效(在系统中存在账户
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:86.37kb
    • 提供者:卢志宏
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. ACT-R

    0下载:
  2. 重点介绍ACT-R认知架构的原理和特点,并基于ACT-R认知行为模型介绍了几种典型应用。-Focuses on ACT-R cognitive architecture principles and characteristics, based on ACT-R cognitive-behavioral model describes several typical applications.
  3. 所属分类:Development Research

    • 发布日期:2017-05-15
    • 文件大小:3.65mb
    • 提供者:江济良
  1. iic_master

    0下载:
  2. it is a iic source verilog code with its testcase which can act only as master
  3. 所属分类:Communication

    • 发布日期:2017-03-25
    • 文件大小:3.01kb
    • 提供者:nifrad
  1. key

    0下载:
  2. msp430的独立按键测试程序,分为中断法和查询法,简单易懂-the msp430 independent key test procedures divided into interrupt method and query Act, straightforward
  3. 所属分类:software engineering

    • 发布日期:2017-11-11
    • 文件大小:38.75kb
    • 提供者:金坤
  1. GCM

    0下载:
  2. 灰色管理法的实现,通过判断矩阵得到相关排序。-The realization of the gray Management Act, through the matrix to determine relevant sort.
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:971byte
    • 提供者:鲜福
  1. Webdevelopments

    0下载:
  2. Web服务器又称WWW服务器、网站服务器或站点服务器,就是将本地的信息用超文本组织,为用户在Internet上搜索和浏览信息提供服务。从本质上来说,Web服务器实际上就是一个软件系统。一台计算机可以充当多个Web服务器。为提高用户的访问效率,一般情况下一台计算机只充当一个Web服务器;为提供大量用户的访问,多台计算机可以形成集群,只提供一个Web服务。通常将只充当一个Web服务器的一台计算机叫做Web服务器。-Web server, also known as WWW server, web s
  3. 所属分类:Document

    • 发布日期:2017-04-16
    • 文件大小:8.98kb
    • 提供者:jecky
  1. TheoryofConstraints

    1下载:
  2. 《绝不是靠运气》(It’s Not Luck )是高德拉特博士继《目标》(The Goal)后又一本十分畅销的企业小说,是《目标》的连续篇。很多读过《目标》的朋友经常追问我:什么时候才出《绝不是靠运气》的中文版?他们焦急地想知道《目标》的主角罗哥如何运用制约法(TOC,Theory of Constraints),解决工厂生产以外的难题。 这里,让我谈一谈这本书的背景,希望读者朋友们读这本书时,可以更直接,更全面地掌握作者想要传达的讯息。 -" Is not a matter o
  3. 所属分类:IT Hero

    • 发布日期:2017-04-01
    • 文件大小:155.1kb
    • 提供者:tomy
  1. znzx

    0下载:
  2. 阻尼最小二乘法(即Levenberg-Marquarat算法),是Gauss-Newton算法的一种修*。-Damped least squares method (that is, Levenberg-Marquarat algorithm), Gauss-Newton algorithm are an Amendment Act.
  3. 所属分类:Document

    • 发布日期:2017-04-16
    • 文件大小:26.75kb
    • 提供者:zjun800
  1. 3

    0下载:
  2. 基于MSP430F149 单片机的温度监测系统的设计介绍了一种通用温度监测仪。阐述了以MSP430F149 为核心的温度检测仪的硬件模块和软件设计。该温度检测仪具有 低成本、低功耗、可靠性高、抗干扰能力强等特点, 根据不同需要可应用于多种温度检测系统中。 关键词:MSP430 , 温度, 检测系统-Abstr act: Introduced a currency instrument of temperature measurement. This instrument was base
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:100.92kb
    • 提供者:萧山
  1. sdsaa

    0下载:
  2. 里面有关于ACT的代码!~~~大家看题ia-look in jsadh sajd sadsa dsadh sad
  3. 所属分类:File Formats

    • 发布日期:2017-04-01
    • 文件大小:662.79kb
    • 提供者:孙侃
  1. ch2ethic

    0下载:
  2. explores different ways in which engineers might understand and act on their responsibilities.
  3. 所属分类:Development Research

    • 发布日期:2017-04-06
    • 文件大小:34.45kb
    • 提供者:ersan
  1. gsor1

    0下载:
  2. 求解变分不等式的GSOR法 参考:chapter Five of Algorithm studies on some variational inequalities -Solving Variational Inequality GSOR Act reference: chapter Five of ' Algorithm studies on some variational inequalities'
  3. 所属分类:Development Research

    • 发布日期:2017-03-29
    • 文件大小:841byte
    • 提供者:徐晓飞
  1. Adaptivethresholdfilterbasedonwavletanditsapplicat

    0下载:
  2. 采集的心电信号,各类噪声往往覆盖了其有用信号的全频段范围,通常的方法难以有效消噪-act:For the sampled electrocardiogram(ECG) signal,the varied noises often overlay the all frequency field of ECG
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:244.03kb
    • 提供者:qulidan
  1. ACT_APDLEditor_v1.1

    2下载:
  2. 有限元仿真分析软件ANSYS WORKBENCH命令输入插件(ansys workbench act apdl editor)
  3. 所属分类:软件设计/软件工程

    • 发布日期:2020-06-23
    • 文件大小:76.42mb
    • 提供者:一枕初寒
« 12 3 »
搜珍网 www.dssz.com